Kupanga - Linux Command - Unix Command

Pangani - GNU ikuthandizani kusunga magulu a mapulogalamu

Zosinthasintha

pangani [ -f makefile ] [option] ... ndondomeko ...

Chenjezo

Tsamba ili ndi ndondomeko ya zolembedwa za GNU. Zimangosinthidwa pokhapokha chifukwa polojekiti ya GNU sinagwiritse ntchito nroff. Kuti mupeze zonse, zolembedwa zamakono, zindikirani ku Info Info make.info zomwe zimapangidwa kuchokera ku fayilo ya source ya Texinfo make.texinfo .

Kufotokozera

Cholinga cha ntchitoyi ndi kudziwa kuti ndi mbali ziti za pulogalamu yayikulu yomwe iyenera kubwezeretsedwa ndikupereka malamulo kuti awathandize. Bukuli likufotokoza momwe GNU ikuyendera, yomwe inalembedwa ndi Richard Stallman ndi Roland McGrath. Zitsanzo zathu zimasonyeza mapulogalamu a C chifukwa zimakhala zofala, koma mungagwiritse ntchito kupanga ndi chinenero chilichonse cholemba mapulogalamu omwe kompyutayo akhoza kuthamanga ndi lamulo la shell. Ndipotu, kupanga sikumangokhala pa mapulogalamu. Mukhoza kugwiritsa ntchito kufotokoza ntchito iliyonse yomwe mafayilo ayenera kusinthidwa mosavuta kwa ena pamene enawo akusintha.

Kuti mukonzekere kugwiritsa ntchito kupanga , muyenera kulemba fayilo yotchedwa makefile yomwe imalongosola maubwenzi pakati pa mafayilo anu pulogalamu yanu, ndipo imanena malamulo a kukonzanso fayilo iliyonse. Mu pulogalamu, kawirikawiri fayilo yowonongeka imasinthidwa kuchokera ku mafayilo, zomwe zimapangidwanso polemba mafayilo oyambirira.

Kamodzi kokonza makefile ilipo, nthawi iliyonse mukasintha fayilo zowonjezera, chipolopolo chophweka ichi:

pangani

ndikwanitsa kuchita zonse zofunika. Pulogalamuyi imagwiritsira ntchito makefile deta komanso nthawi yomaliza kusintha maofesi kuti afotokoze kuti maofesi ayenera kusinthidwa. Pa fayilo iliyonseyi, imabweretsa malamulo omwe ali m'mabuku.

Pangani malamulo mu makefile kuti musinthe chimodzi kapena zingapo zolinga mayina , kumene dzina ndilo pulogalamu. Ngati palibe -pomwe mungakhalepo, pangani kuyang'ana zojambula GNUmakefile , makefile , ndi Makefile , mu dongosolo limenelo.

Kawirikawiri muyenera kutchula makefile anu kapena makefile kapena Makefile . (Tikukulimbikitsani Makefile chifukwa ikuwonekera poyambirira pafupi ndi chiyambi cha zolemba, pafupi ndi maofesi ena ofunikira monga README .) Dzina loyang'aniridwa , GNUmakefile , silinayamikiridwe chifukwa cha maofesi ambiri. Muyenera kugwiritsa ntchito dzina ili ngati muli ndi makefile yomwe yeniyeni ya GNU, ndipo simungamvetsetsenso ndi machitidwe ena. Ngati zowonjezera ndi `- ', zolemba zowonjezera zimawerengedwa.

pangani zosintha zowunikira ngati zimadalira zofunikira zomwe mafayilo asinthidwa kuyambira pamene cholingachi chinasinthidwa, kapena ngati palibe chomwe chikufuna.

OPTIONS

-b

-m

Zosankha izi sizinasamalidwe motsatira machitidwe ena.

-Chotsani

Sinthani kutsogolo musanawerenge zovuta kapena kuchita china chilichonse. Ngati zosankha zambiri -C zifotokozedwa, aliyense amamasuliridwa molingana ndi oyambirira: -C / -C zina ndizofanana ndi -C / etc. Izi zimagwiritsidwa ntchito ndi kupempha mobwerezabwereza.

-d

Sinthani kusokoneza chidziwitso kuwonjezera pa chizolowezi chokonzekera. Zomwe zimasokoneza malingaliro zimanena kuti mafayilo akuyankhidwa kuti akhululukidwe, omwe ma fayilo akuwerengedwera ndi zotsatira zake, mafayilo omwe amafunikira kuwongolera, zomwe malamulo omwe amatsatiridwa amawoneka ndi omwe amagwiritsidwira ntchito --- chirichonse chosangalatsa momwe kupanga kumasankhira Zoyenera kuchita.

-a

Perekani zosiyanasiyana zomwe zatengedwa kuchokera ku chikhalidwe choyambirira kusiyana ndi zosiyana kuchokera pa zojambula.

-f file

Gwiritsani ntchito fayilo ngati makefile.

-i

Ikani zolakwa zonse m'malamulo omwe akuchitidwa kuti musinthe mafayilo.

-Ndinena

Imatanthauzira adiresi kuti ifufuze kufufuza zojambulidwazo. Ngati zingapo - Zosankha zimagwiritsidwa ntchito kufotokozera maulendo angapo, mauthengawa amafufuzidwa mwadongosolo. Mosiyana ndi zotsutsana ndi zizindikiro zina , mafayilo operekedwa ndi_Izigobole zingabwere mwachindunji pambuyo pa mbendera: - Ndimanena kuti ndiloledwa, komanso_ndimayankhula. Msonkhanowu umaloledwa kuti ukhale wogwirizana ndi C preprocessor wa_Indende yanga .

-j ntchito

Imafotokozera chiwerengero cha ntchito (malamulo) kuti azigwira nthawi imodzi. Ngati pali zowonjezereka, njira yomalizayi ndi yothandiza. Ngati chofunika -j chikuperekedwa popanda kutsutsana, kupanga sikungathe kuchepetsa chiwerengero cha ntchito zomwe zingagwire ntchito yomweyo.

-k

Pitirizani momwe mungathere pambuyo polakwika. Pamene cholinga chomwe chalephera, ndi chomwe chimadalira pa icho, sichitha kukonzanso, malingaliro enawo a zolingazi akhoza kuthandizidwa chimodzimodzi.

-l

-li katundu

Amafotokoza kuti palibe ntchito zatsopano (malamulo) ziyenera kuyambika ngati pali ntchito zina zomwe zikuyenda ndipo katundu wolemera ali ndi katundu (tsamba loyandama). Popanda kutsutsana, kuchotsa malire amtundu wapitawo.

-n

Sindikizani malamulo omwe angapangidwe, koma musawachite.

-o fayilo

Musati musinthe fayilo ya fayilo ngakhale ikulu kuposa yodalira zake, ndipo musati musinthe kanthu chifukwa cha kusintha kwa fayilo . Chofunika kwambiri fayiloyi imawoneka ngati yakale kwambiri ndipo malamulo ake amanyalanyazidwa.

-p

Sindikizani deta (malamulo ndi zikhalidwe zosinthika) zomwe zimachokera pakuwerenga zojambulazo; kenako perekani monga mwachizolowezi kapena mwachindunji. Izi zimapangitsanso mauthenga omwe aperekedwa ndi -v osintha (onani m'munsimu). Kuti musindikize deta yanu popanda kuyesa kubweza mafayilo, gwiritsani ntchito make -p -f / dev / null.

-q

`` Funso la funso ''. Musagwiritse ntchito malamulo, kapena kusindikiza chirichonse; tangobweretsani nthawi yotsalira yomwe ilipo ngati zolembedweratu zafika kale, osati zowonjezera.

-r

Chotsani kugwiritsa ntchito malamulo omangidwa bwino. Tchulani mndandanda wa zolembera za malamulo okhutira.

-s

Opaleshoni yamtendere; musasindikize malamulo pamene akuchitidwa.

-S

Tsekani zotsatira za_k zosankha. Izi sizingatheke pokhapokha ngati mumangokhalira kupanga malo omwe angapangidwe kuchokera pamwamba pamtunda kudzera pa MAKEFLAGS kapena ngati muika-mu MAKEFLAGS pamalo anu.

-t

Gwiritsani ma fayilo (kuwalemba iwo mpaka pano popanda kuwasintha kwenikweni) m'malo mochita malamulo awo. Izi zimagwiritsidwa ntchito kudziyesa kuti malamulowa adachitidwa, kuti apusitse mapemphero opempha.

-v

Sindikirani ndondomeko ya pulogalamuyi kuphatikizapo chilolezo, olemba a olemba ndi zindikirani kuti palibe ndondomeko.

-w

Sindikirani uthenga womwe uli ndi zolemba zogwirira ntchito zisanayambe komanso zitatha. Izi zingakhale zothandiza pofufuza zotsatira zolakwika kuchokera ku zisa zovuta za malamulo opangidwa mobwerezabwereza.

-Wolemba

Onetsetsani kuti fayilo yowunikirayo yangosinthidwa. Mukagwiritsidwa ntchito ndi -njendera , izi zikuwonetsani zomwe zidzachitike ngati mutasintha fayilo. Popanda -n , ziri zofanana ndi kuyendetsa lamulo lakugwira pa fayilo yapatsidwa musanayambe kupanga , kupatula kuti nthawi yosinthidwa imasinthidwa kokha m'malingaliro apangidwe .